MyLam
Why New Photoresist Technology Is Critical
Mar 1, 2021
|

As chipmakers move to advanced technology nodes, they are challenged to resolve ever finer features. One of the major roadblocks involves the material used to transfer chip design to the wafer; that material is rapidly reaching its limit to accurately transfer designs. To keep next-generation device scaling on track, Lam introduced a breakthrough technology: dry resist. To better understand this solution, let’s first take a closer look at the patterning process and current photoresists, then discuss the potential advantages of the new solution. 

Patterning: creating chip features

It can take several hundred individual steps to create an advanced chip, as the microscopic features are built up layer by layer. One of the most critical process steps is called lithography – repeated over and over, along with deposition and etching, these steps are responsible for encoding the information contained in the design into patterns on the wafer.  

In lithography, a wafer is coated with a light-sensitive material called photoresist. Light is then streamed through a photomask (a pattern of transparent and opaque areas), exposing the photoresist in some places, but not in others. The exposed regions are then etched away, while covered areas remain protected (in the case of positive photoresist). The end result is a set of features whose size and density are determined by the original photoresist pattern, reproducing the device design onto a film on the wafer. 

The size of the smallest possible feature is directly proportional to the wavelength of light being used. With its smaller wavelength, extreme ultraviolet (EUV) lithography systems can create finer chip features than its predecessor – just as smaller pixels increase screen resolution on your smart phone.  

The role of the resist

The photoresist, often simply referred to as resist, plays a critical role in the lithography process. Ideally, it would have high resolution, low line edge roughness (LER), and high sensitivity. 

  • Resolution refers to the smallest dimension that can be produced by the resist; it is determined by the ability of the resist material to react with incoming photons 
  • Line edge roughness describes how far from design intent a printed feature is; a trench with atomically straight walls would have zero LER 
  • Sensitivity is a measure of the energy required to create a feature; a resist with high sensitivity is needed if the light source intensity is low 

Obtaining all three of these at the same time is difficult, as they are interrelated, and improvements in one parameter generally degrades at least one of the others – often referred to as the RLS trade-off relation. To better understand why this trade-off exists, we need to understand how resists work. 

How resists work: CAR talk

(Below, we’ll mention photons, which can be thought of as tiny particles of light.) 

Today’s chemically amplified resists are made up of many components in addition to the main polymeric matrix, including absorbers, photoacid generators (PAGs), and additives to control viscosity, adhesion and shelf stability. When a photon strikes the resist, it sets off a chain reaction that changes the structure of the material, making it more soluble so it can be washed away in a subsequent development step. Part of the reaction cascade involves a chemical amplification of the initial photon, where the photon is first converted into several electrons, whereby several photoacid molecules are eventually generated per incident photon. Hence these materials are referred to as chemically amplified resists (CARs). 

The advantage of CAR is that resist sensitivity can be increased by increasing the number of photoacid molecules that are generated per photon. However, these additional acids will be located further and further from the site of the original photon, resulting in image blur which reduces resolution and increases line edge roughness.   

While CARs have made significant progress over the past decades, they are already facing significant limitations at the 5 nm node, requiring chipmakers to make design accommodations and semiconductor fabs to incorporate multiple passes of lithography to meet resolution. To extend affordable and design friendly EUV patterning to future process nodes, a new resist solution is needed. 

Dry resist solution

Lam, together with ASML and imec, has created a breakthrough resist application technology that’s fundamentally different from spin-on liquid resists. Applied using reactive precursors in a vapor phase, the resulting film is uniform and homogeneous. 

This new technology involves the dry deposition of small (<0.5 nm) metalorganic units, which has several advantages. Importantly, this high density framework of density photosensitive particles is inherently more efficient in capturing photons, an important characteristic given that current EUV sources produce many times fewer photons than their their longer wavelength predecessors.  

Resolution is higher because the exposure mechanics are fundamentally different from the chain reaction involved with CARs. At the SPIE Advanced Lithography symposium, we showed successful imaging at 26 nm pitch with best in class Z-factor <1 x10-8 mJ nm3 

The dry deposition method gives us the unique ability to change the resist thickness simply by changing the deposition and development time. In contrast, changing spin-on resist coating thickness is much more difficult, as both viscosity and surface adhesion must be addressed which can lead to undesirable tradeoffs in lithography performance. This ability of dry resist thickness to be co-optimized with photon absorption, transfer etch, and underlayer adhesion allows us to break tradeoffs in line edge roughness, sensitivity, and defectivity/device yield. 

The dry resist framework has other benefits besides breaking the RLS trade-off. There are no concerns with viscosity, chemistry shelf life, and other limitations that can affect wet spin-on resists. Since additives for adhesion or stability are no longer required, the dry deposited material is a much purer material that is inherently more sensitive and importantly amenable to a dry development process. 

The new dry develop process has been co-optimized to minimize line and pillar collapse. Without the capillary forces inevitable during wet processing, dry develop results in a dramatically larger collapse-free process window. 

Lam’s dry resist and develop technology will accelerate the industry transition to EUV lithography’s future node applications and enable continued scaling for advanced logic and memory devices.

circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube