MyLam
Evolution of Uniformity Control: Looking Back and Peeking Ahead
There are four wafers with an arrow going across them showing the progression from a clean (empty) wafer to one filled with chips.
Jul 17, 2016
|

The semiconductor industry has long relied on the development of innovative device architectures and technologies to continue device scaling. Making these a reality in production, however, requires extremely controlled and repeatable results from the chipmaking equipment. For advanced manufacturing, the level of uniformity control needed is increasingly difficult to achieve and can involve rather fascinating engineering solutions. For instance, one highly sensitive etch process required adding shielding against the Earth’s magnetic field to the equipment. Over the years, etch is one area where uniformity control capability has undergone dramatic development, moving from nonspecific bulk process tuning to highly targeted, independent control of numerous “micro zones” across the wafer.

A new article on this topic – “Evolution of Across-Wafer Uniformity Control in Plasma Etch” – was recently published in the July issue of Solid State Technology. In the article, Lam technologists discuss the historical evolution of uniformity control in plasma etch, strategies and technologies that are currently being developed, and where this important area may be headed in the future. Key topics include:

 

Improving Uniformity through Design – For many years, efforts focused on improving radial symmetry; as those issues were addressed, non-radial patterns became apparent, and focus shifted toward eliminating those asymmetries.

Development of Process Tuning Capabilities – As etch processes became more varied and complex, optimizing the manufacturing equipment for each process was no longer practical, and additional uniformity tuning knobs were introduced.

Uniformity Control Today – After many years of innovation, uniformity control capabilities now have the following characteristics:

  • A high degree of granularity (numerous independent tuning locations across the wafer)
  • Active tuning of both radial and non-radial patterns
  • The ability to compensate for non-uniformities upstream and downstream of the etch process

Future Focus Areas – Performance at the edge of the wafer is an increasingly important area of future focus for improving yield, and technologies are in development to address the electrical discontinuities that dominate this region.

 

Read the full article at Solid State Technology.

The image says 'Solid State Technology: Insights for Electronics Manufacturing.'
circle-arrow2circle-arrow2facebookgooglehandshake2health2linkedinmenupdfplant2searchtwitteryoutube